Clock_Ip_PBcfg.c 41 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017101810191020102110221023102410251026102710281029103010311032103310341035103610371038103910401041104210431044104510461047104810491050105110521053105410551056105710581059106010611062106310641065106610671068106910701071107210731074107510761077107810791080108110821083108410851086108710881089109010911092109310941095109610971098109911001101110211031104110511061107110811091110111111121113111411151116111711181119112011211122112311241125112611271128112911301131113211331134113511361137113811391140114111421143114411451146114711481149115011511152115311541155115611571158115911601161116211631164116511661167116811691170117111721173117411751176117711781179118011811182118311841185118611871188118911901191119211931194119511961197119811991200120112021203120412051206120712081209121012111212121312141215121612171218
  1. /* TEXT BELOW IS USED AS SETTING FOR TOOLS *************************************
  2. !!GlobalInfo
  3. product: Clocks v7.0
  4. processor: S32K146
  5. package_id: S32K146_LQFP144
  6. mcu_data: PlatformSDK_S32K1_2021_08
  7. processor_version: 0.0.0
  8. * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS **********/
  9. /*==================================================================================================
  10. * Project : RTD AUTOSAR 4.4
  11. * Platform : CORTEXM
  12. * Peripheral :
  13. * Dependencies : none
  14. *
  15. * Autosar Version : 4.4.0
  16. * Autosar Revision : ASR_REL_4_4_REV_0000
  17. * Autosar Conf.Variant :
  18. * SW Version : 1.0.0
  19. * Build Version : S32K1_RTD_1_0_0_ASR_REL_4_4_REV_0000_20210810
  20. *
  21. * (c) Copyright 2020 NXP Semiconductors
  22. * All Rights Reserved.
  23. *
  24. * NXP Confidential. This software is owned or controlled by NXP and may only be
  25. * used strictly in accordance with the applicable license terms. By expressly
  26. * accepting such terms or by downloading, installing, activating and/or otherwise
  27. * using the software, you are agreeing that you have read, and that you agree to
  28. * comply with and are bound by, such license terms. If you do not agree to be
  29. * bound by the applicable license terms, then you may not retain, install,
  30. * activate or otherwise use the software.
  31. ==================================================================================================*/
  32. /**
  33. * @file Clock_Ip_PBcfg.c
  34. * @version 1.0.0
  35. *
  36. * @brief AUTOSAR Mcu - Post-Build(PB) configuration file code template.
  37. * @details Code template for Post-Build(PB) configuration file generation.
  38. *
  39. * @addtogroup CLOCK_DRIVER_CONFIGURATION Clock Driver
  40. * @{
  41. */
  42. #ifdef __cplusplus
  43. extern "C"{
  44. #endif
  45. /*==================================================================================================
  46. INCLUDE FILES
  47. 1) system and project includes
  48. 2) needed interfaces from external units
  49. 3) internal and external interfaces from this unit
  50. ==================================================================================================*/
  51. #include "Clock_Ip_PBcfg.h"
  52. #include "StandardTypes.h"
  53. #include "Clock_Ip.h"
  54. #include "Clock_Ip_Private.h"
  55. /*==================================================================================================
  56. * SOURCE FILE VERSION INFORMATION
  57. ==================================================================================================*/
  58. #define CLOCK_IP_PBCFG_VENDOR_ID_C 43
  59. #define CLOCK_IP_PBCFG_AR_RELEASE_MAJOR_VERSION_C 4
  60. #define CLOCK_IP_PBCFG_AR_RELEASE_MINOR_VERSION_C 4
  61. #define CLOCK_IP_PBCFG_AR_RELEASE_REVISION_VERSION_C 0
  62. #define CLOCK_IP_PBCFG_SW_MAJOR_VERSION_C 1
  63. #define CLOCK_IP_PBCFG_SW_MINOR_VERSION_C 0
  64. #define CLOCK_IP_PBCFG_SW_PATCH_VERSION_C 0
  65. /*==================================================================================================
  66. * FILE VERSION CHECKS
  67. ==================================================================================================*/
  68. /* Check if source file and Clock_Ip_PBcfg.h file are of the same vendor */
  69. #if (CLOCK_IP_PBCFG_VENDOR_ID_C != CLOCK_IP_PBCFG_VENDOR_ID)
  70. #error "Clock_Ip_PBcfg.c and Clock_Ip_PBcfg.h have different vendor ids"
  71. #endif
  72. /* Check if source file and Clock_Ip_PBcfg.h file are of the same Autosar version */
  73. #if ((CLOCK_IP_PBCFG_AR_RELEASE_MAJOR_VERSION_C != CLOCK_IP_PBCFG_AR_RELEASE_MAJOR_VERSION) || \
  74. (CLOCK_IP_PBCFG_AR_RELEASE_MINOR_VERSION_C != CLOCK_IP_PBCFG_AR_RELEASE_MINOR_VERSION) || \
  75. (CLOCK_IP_PBCFG_AR_RELEASE_REVISION_VERSION_C != CLOCK_IP_PBCFG_AR_RELEASE_REVISION_VERSION) \
  76. )
  77. #error "AutoSar Version Numbers of Clock_Ip_PBcfg.c and Clock_Ip_PBcfg.h are different"
  78. #endif
  79. /* Check if source file and Clock_Ip_PBcfg.h file are of the same Software version */
  80. #if ((CLOCK_IP_PBCFG_SW_MAJOR_VERSION_C != CLOCK_IP_PBCFG_SW_MAJOR_VERSION) || \
  81. (CLOCK_IP_PBCFG_SW_MINOR_VERSION_C != CLOCK_IP_PBCFG_SW_MINOR_VERSION) || \
  82. (CLOCK_IP_PBCFG_SW_PATCH_VERSION_C != CLOCK_IP_PBCFG_SW_PATCH_VERSION) \
  83. )
  84. #error "Software Version Numbers of Clock_Ip_PBcfg.c and Clock_Ip_PBcfg.h are different"
  85. #endif
  86. #ifndef DISABLE_MCAL_INTERMODULE_ASR_CHECK
  87. /* Check if source file and StandardTypes.h file are of the same Autosar version */
  88. #if ((CLOCK_IP_PBCFG_AR_RELEASE_MAJOR_VERSION_C != STD_AR_RELEASE_MAJOR_VERSION) || \
  89. (CLOCK_IP_PBCFG_AR_RELEASE_MINOR_VERSION_C != STD_AR_RELEASE_MINOR_VERSION) \
  90. )
  91. #error "AutoSar Version Numbers of Clock_Ip_PBcfg.c and StandardTypes.h are different"
  92. #endif
  93. #endif /* DISABLE_MCAL_INTERMODULE_ASR_CHECK */
  94. /* Check if source file and Clock_Ip.h file are of the same vendor */
  95. #if (CLOCK_IP_PBCFG_VENDOR_ID_C != CLOCK_IP_VENDOR_ID)
  96. #error "Clock_Ip_PBcfg.c and Clock_Ip.h have different vendor ids"
  97. #endif
  98. /* Check if source file and Clock_Ip.h file are of the same Autosar version */
  99. #if ((CLOCK_IP_PBCFG_AR_RELEASE_MAJOR_VERSION_C != CLOCK_IP_AR_RELEASE_MAJOR_VERSION) || \
  100. (CLOCK_IP_PBCFG_AR_RELEASE_MINOR_VERSION_C != CLOCK_IP_AR_RELEASE_MINOR_VERSION) || \
  101. (CLOCK_IP_PBCFG_AR_RELEASE_REVISION_VERSION_C != CLOCK_IP_AR_RELEASE_REVISION_VERSION) \
  102. )
  103. #error "AutoSar Version Numbers of Clock_Ip_PBcfg.c and Clock_Ip.h are different"
  104. #endif
  105. /* Check if source file and Clock_Ip.h file are of the same Software version */
  106. #if ((CLOCK_IP_PBCFG_SW_MAJOR_VERSION_C != CLOCK_IP_SW_MAJOR_VERSION) || \
  107. (CLOCK_IP_PBCFG_SW_MINOR_VERSION_C != CLOCK_IP_SW_MINOR_VERSION) || \
  108. (CLOCK_IP_PBCFG_SW_PATCH_VERSION_C != CLOCK_IP_SW_PATCH_VERSION) \
  109. )
  110. #error "Software Version Numbers of Clock_Ip_PBcfg.c and Clock_Ip.h are different"
  111. #endif
  112. /* Check if source file and Clock_Ip_Private.h file are of the same vendor */
  113. #if (CLOCK_IP_PBCFG_VENDOR_ID_C != CLOCK_IP_PRIVATE_VENDOR_ID)
  114. #error "Clock_Ip_PBcfg.c and Clock_Ip_Private.h have different vendor ids"
  115. #endif
  116. /* Check if source file and Clock_Ip_Private.h file are of the same Autosar version */
  117. #if ((CLOCK_IP_PBCFG_AR_RELEASE_MAJOR_VERSION_C != CLOCK_IP_PRIVATE_AR_RELEASE_MAJOR_VERSION) || \
  118. (CLOCK_IP_PBCFG_AR_RELEASE_MINOR_VERSION_C != CLOCK_IP_PRIVATE_AR_RELEASE_MINOR_VERSION) || \
  119. (CLOCK_IP_PBCFG_AR_RELEASE_REVISION_VERSION_C != CLOCK_IP_PRIVATE_AR_RELEASE_REVISION_VERSION) \
  120. )
  121. #error "AutoSar Version Numbers of Clock_Ip_PBcfg.c and Clock_Ip_Private.h are different"
  122. #endif
  123. /* Check if source file and Clock_Ip_Private.h file are of the same Software version */
  124. #if ((CLOCK_IP_PBCFG_SW_MAJOR_VERSION_C != CLOCK_IP_PRIVATE_SW_MAJOR_VERSION) || \
  125. (CLOCK_IP_PBCFG_SW_MINOR_VERSION_C != CLOCK_IP_PRIVATE_SW_MINOR_VERSION) || \
  126. (CLOCK_IP_PBCFG_SW_PATCH_VERSION_C != CLOCK_IP_PRIVATE_SW_PATCH_VERSION) \
  127. )
  128. #error "Software Version Numbers of Clock_Ip_PBcfg.c and Clock_Ip_Private.h are different"
  129. #endif
  130. /*==================================================================================================
  131. LOCAL TYPEDEFS (STRUCTURES, UNIONS, ENUMS)
  132. ==================================================================================================*/
  133. /*==================================================================================================
  134. LOCAL MACROS
  135. ==================================================================================================*/
  136. /*==================================================================================================
  137. LOCAL CONSTANTS
  138. ==================================================================================================*/
  139. /*==================================================================================================
  140. LOCAL VARIABLES
  141. ==================================================================================================*/
  142. /*==================================================================================================
  143. GLOBAL CONSTANTS
  144. ==================================================================================================*/
  145. /*==================================================================================================
  146. GLOBAL VARIABLES
  147. ==================================================================================================*/
  148. #define MCU_START_SEC_CONFIG_DATA_UNSPECIFIED
  149. #include "Mcu_MemMap.h"
  150. /* TEXT BELOW IS USED AS SETTING FOR TOOLS *************************************
  151. !!Configuration
  152. name: BOARD_BootClockRUN
  153. called_from_default_init: true
  154. outputs:
  155. - {id: ADC0_CLK.outFreq, value: 8 MHz}
  156. - {id: ADC1_CLK.outFreq, value: 8 MHz}
  157. - {id: BUS_CLK.outFreq, value: 48 MHz}
  158. - {id: CLKOUT0_CLK.outFreq, value: 48 MHz}
  159. - {id: CMP0_CLK.outFreq, value: 48 MHz}
  160. - {id: CORE_CLK.outFreq, value: 48 MHz}
  161. - {id: CRC0_CLK.outFreq, value: 48 MHz}
  162. - {id: DMA0_CLK.outFreq, value: 48 MHz}
  163. - {id: DMAMUX0_CLK.outFreq, value: 48 MHz}
  164. - {id: EIM0_CLK.outFreq, value: 48 MHz}
  165. - {id: ERM0_CLK.outFreq, value: 48 MHz}
  166. - {id: EWM0_CLK.outFreq, value: 48 MHz}
  167. - {id: FIRCDIV1_CLK.outFreq, value: 48 MHz}
  168. - {id: FIRCDIV2_CLK.outFreq, value: 48 MHz}
  169. - {id: FIRCOUT.outFreq, value: 48 MHz}
  170. - {id: FLASH_CLK.outFreq, value: 24 MHz}
  171. - {id: FLEXCAN0_CLK.outFreq, value: 48 MHz}
  172. - {id: FLEXCAN1_CLK.outFreq, value: 48 MHz}
  173. - {id: FLEXCAN2_CLK.outFreq, value: 48 MHz}
  174. - {id: FTFC0_CLK.outFreq, value: 24 MHz}
  175. - {id: FTM0_CLK.outFreq, value: 8 MHz}
  176. - {id: FTM1_CLK.outFreq, value: 8 MHz}
  177. - {id: FTM2_CLK.outFreq, value: 8 MHz}
  178. - {id: FTM3_CLK.outFreq, value: 8 MHz}
  179. - {id: FTM4_CLK.outFreq, value: 8 MHz}
  180. - {id: FTM5_CLK.outFreq, value: 8 MHz}
  181. - {id: FlexIO0_CLK.outFreq, value: 8 MHz}
  182. - {id: FlexIO_CLK.outFreq, value: 8 MHz}
  183. - {id: LPI2C0_CLK.outFreq, value: 8 MHz}
  184. - {id: LPIT0_CLK.outFreq, value: 8 MHz}
  185. - {id: LPO_128K_CLK.outFreq, value: 128 kHz}
  186. - {id: LPO_1K_CLK.outFreq, value: 1 kHz}
  187. - {id: LPO_32K_CLK.outFreq, value: 32 kHz}
  188. - {id: LPO_CLK.outFreq, value: 128 kHz}
  189. - {id: LPSPI0_CLK.outFreq, value: 8 MHz}
  190. - {id: LPSPI1_CLK.outFreq, value: 8 MHz}
  191. - {id: LPSPI2_CLK.outFreq, value: 8 MHz}
  192. - {id: LPTMR0_CLK.outFreq, value: 8 MHz}
  193. - {id: LPUART0_CLK.outFreq, value: 8 MHz}
  194. - {id: LPUART1_CLK.outFreq, value: 8 MHz}
  195. - {id: LPUART2_CLK.outFreq, value: 8 MHz}
  196. - {id: MPU0_CLK.outFreq, value: 48 MHz}
  197. - {id: MSCM0_CLK.outFreq, value: 48 MHz}
  198. - {id: PDB0_CLK.outFreq, value: 48 MHz}
  199. - {id: PDB1_CLK.outFreq, value: 48 MHz}
  200. - {id: PORTA_CLK.outFreq, value: 48 MHz}
  201. - {id: PORTB_CLK.outFreq, value: 48 MHz}
  202. - {id: PORTC_CLK.outFreq, value: 48 MHz}
  203. - {id: PORTD_CLK.outFreq, value: 48 MHz}
  204. - {id: PORTE_CLK.outFreq, value: 48 MHz}
  205. - {id: RTC0_CLK.outFreq, value: 8 MHz}
  206. - {id: RTC_CLK.outFreq, value: 8 MHz}
  207. - {id: RTC_CLKIN.outFreq, value: 32.768 kHz}
  208. - {id: SCGCLKOUT_CLK.outFreq, value: 48 MHz}
  209. - {id: SIRCDIV1_CLK.outFreq, value: 8 MHz}
  210. - {id: SIRCDIV2_CLK.outFreq, value: 8 MHz}
  211. - {id: SIRCOUT.outFreq, value: 8 MHz}
  212. - {id: SOSCDIV1_CLK.outFreq, value: 8 MHz}
  213. - {id: SOSCDIV2_CLK.outFreq, value: 8 MHz}
  214. - {id: SOSCOUT.outFreq, value: 8 MHz}
  215. - {id: SPLLDIV1_CLK.outFreq, value: 48 MHz}
  216. - {id: SPLLDIV2_CLK.outFreq, value: 24 MHz}
  217. - {id: SYS_CLK.outFreq, value: 48 MHz}
  218. - {id: TRACE_CLK.outFreq, value: 48 MHz}
  219. settings:
  220. - {id: DIVBUS.scale, value: '1', locked: true}
  221. - {id: DIVCORE.scale, value: '1', locked: true}
  222. - {id: DIVSLOW.scale, value: '2', locked: true}
  223. - {id: 'HSRUN:DIVBUS.scale', value: '1', locked: true}
  224. - {id: 'HSRUN:DIVCORE.scale', value: '1', locked: true}
  225. - {id: 'HSRUN:DIVSLOW.scale', value: '2', locked: true}
  226. - {id: PREDIV.scale, value: '1', locked: true}
  227. - {id: 'RUN:DIVBUS.scale', value: '1', locked: true}
  228. - {id: 'RUN:DIVCORE.scale', value: '1', locked: true}
  229. - {id: 'RUN:DIVSLOW.scale', value: '2', locked: true}
  230. - {id: SCG_SOSCCSR_SOSCEN_CFG, value: Enabled}
  231. - {id: SCG_SPLLCSR_SPLLEN_CFG, value: Enabled}
  232. - {id: SIRCDIV1.scale, value: '1', locked: true}
  233. - {id: SIRCDIV2.scale, value: '1', locked: true}
  234. - {id: SPLLDIV1.scale, value: '2', locked: true}
  235. - {id: SPLLDIV2.scale, value: '4', locked: true}
  236. - {id: SPLL_mul.scale, value: '24', locked: true}
  237. - {id: 'VLPR:DIVBUS.scale', value: '1', locked: true}
  238. - {id: 'VLPR:DIVCORE.scale', value: '8', locked: true}
  239. - {id: 'VLPR:DIVSLOW.scale', value: '4', locked: true}
  240. - {id: 'VLPR:SCSSEL.sel', value: SIRC}
  241. sources:
  242. - {id: RTC.RTC_CLK_EXT_IN.outFreq, value: 32.768 kHz, enabled: true}
  243. - {id: SOSC.SOSC.outFreq, value: 8 MHz, enabled: true}
  244. * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS **********/
  245. /* *************************************************************************
  246. * Configuration structure for Clock Configuration
  247. * ************************************************************************* */
  248. /*! @brief User Configuration structure clock_Cfg_0 */
  249. const Clock_Ip_ClockConfigType Mcu_aClockConfigPB[1] = {
  250. {
  251. 0U, /* clkConfigId */
  252. 2U, /* ircoscsCount */
  253. 1U, /* xoscsCount */
  254. 1U, /* pllsCount */
  255. 32U, /* selectorsCount */
  256. 20U, /* dividersCount */
  257. 0U, /* dividerTriggersCount */
  258. 0U, /* fracDivsCount */
  259. 4U, /* extClksCount */
  260. 42U, /* gatesCount */
  261. 0U, /* pcfsCount */
  262. 0U, /* cmusCount */
  263. 0U, /* configureFrequenciesCount */
  264. /* IRCOSC initialization. */
  265. {
  266. #if CLOCK_IRCOSCS_NO > 0U
  267. {
  268. SIRC_CLK, /* name */
  269. 1U, /* Enabled ircosc */
  270. 0U, /* Disabled regulator */
  271. 1U, /* Ircosc range */
  272. 1U, /* Ircosc enable in VLP mode */
  273. 0U, /* Ircosc disable in STOP mode */
  274. },
  275. #endif
  276. #if CLOCK_IRCOSCS_NO > 1U
  277. {
  278. FIRC_CLK, /* name */
  279. 1U, /* Enabled ircosc */
  280. 0U, /* Disabled regulator */
  281. 0U, /* Ircosc range */
  282. 0U, /* Ircosc disable in VLP mode */
  283. 0U, /* Ircosc disable in STOP mode */
  284. },
  285. #endif
  286. },
  287. /* XOSC initialization. */
  288. {
  289. #if CLOCK_XOSCS_NO > 0U
  290. {
  291. SOSC_CLK, /* Clock name associated to xosc */
  292. 8000000U, /* External oscillator frequency */
  293. 1U, /* Enable xosc */
  294. 0U, /* Startup stabilization time */
  295. 0U, /* XOSC bypass option */
  296. 0U, /* Comparator is not enabled */
  297. 0U, /* Crystal overdrive protection */
  298. 0U, /* High gain value */
  299. FEATURE_CLOCK_IP_HAS_MONITOR_DISABLE, /* Monitor type */
  300. },
  301. #endif
  302. },
  303. /* PLL initialization. */
  304. {
  305. #if CLOCK_PLLS_NO > 0U
  306. {
  307. SPLL_CLK, /* name */
  308. 1U, /* enable */
  309. SOSC_CLK, /* inputReference */
  310. 0U, /* Bypass */
  311. 1U, /* predivider */
  312. 0U, /* numeratorFracLoopDiv */
  313. 24U, /* mulFactorDiv */
  314. 0U, /* modulation */
  315. 0U, /* Modulaton type: Spread spectrum modulation not bypassed */
  316. 0U, /* modulationPeriod */
  317. 1U, /* incrementStep */
  318. 0U, /* sigmaDelta */
  319. 0U, /* ditherControl */
  320. 0U, /* ditherControlValue */
  321. FEATURE_CLOCK_IP_HAS_MONITOR_DISABLE, /* Monitor type */
  322. },
  323. #endif
  324. },
  325. /* SELECTOR initialization. */
  326. {
  327. #if CLOCK_SELECTORS_NO > 0U
  328. {
  329. SCS_RUN_CLK, /* Clock name associated to selector */
  330. FIRC_CLK, /* Name of the selected input source */
  331. },
  332. #endif
  333. #if CLOCK_SELECTORS_NO > 1U
  334. {
  335. SCS_VLPR_CLK, /* Clock name associated to selector */
  336. SIRC_CLK, /* Name of the selected input source */
  337. },
  338. #endif
  339. #if CLOCK_SELECTORS_NO > 2U
  340. {
  341. SCS_HSRUN_CLK, /* Clock name associated to selector */
  342. FIRC_CLK, /* Name of the selected input source */
  343. },
  344. #endif
  345. #if CLOCK_SELECTORS_NO > 3U
  346. {
  347. SCG_CLKOUT_CLK, /* Clock name associated to selector */
  348. FIRC_CLK, /* Name of the selected input source */
  349. },
  350. #endif
  351. #if CLOCK_SELECTORS_NO > 4U
  352. {
  353. RTC_CLK, /* Clock name associated to selector */
  354. SOSCDIV1_CLK, /* Name of the selected input source */
  355. },
  356. #endif
  357. #if CLOCK_SELECTORS_NO > 5U
  358. {
  359. LPO_CLK, /* Clock name associated to selector */
  360. LPO_128K_CLK, /* Name of the selected input source */
  361. },
  362. #endif
  363. #if CLOCK_SELECTORS_NO > 6U
  364. {
  365. TRACE_CLK, /* Clock name associated to selector */
  366. CORE_CLK, /* Name of the selected input source */
  367. },
  368. #endif
  369. #if CLOCK_SELECTORS_NO > 7U
  370. {
  371. CLKOUT0_CLK, /* Clock name associated to selector */
  372. SCG_CLKOUT_CLK, /* Name of the selected input source */
  373. },
  374. #endif
  375. #if CLOCK_SELECTORS_NO > 8U
  376. {
  377. FTM0_EXT_CLK, /* Clock name associated to selector */
  378. TCLK0_REF_CLK, /* Name of the selected input source */
  379. },
  380. #endif
  381. #if CLOCK_SELECTORS_NO > 9U
  382. {
  383. FTM1_EXT_CLK, /* Clock name associated to selector */
  384. TCLK0_REF_CLK, /* Name of the selected input source */
  385. },
  386. #endif
  387. #if CLOCK_SELECTORS_NO > 10U
  388. {
  389. FTM2_EXT_CLK, /* Clock name associated to selector */
  390. TCLK0_REF_CLK, /* Name of the selected input source */
  391. },
  392. #endif
  393. #if CLOCK_SELECTORS_NO > 11U
  394. {
  395. FTM3_EXT_CLK, /* Clock name associated to selector */
  396. TCLK0_REF_CLK, /* Name of the selected input source */
  397. },
  398. #endif
  399. #if CLOCK_SELECTORS_NO > 12U
  400. {
  401. FTM4_EXT_CLK, /* Clock name associated to selector */
  402. TCLK0_REF_CLK, /* Name of the selected input source */
  403. },
  404. #endif
  405. #if CLOCK_SELECTORS_NO > 13U
  406. {
  407. FTM5_EXT_CLK, /* Clock name associated to selector */
  408. TCLK0_REF_CLK, /* Name of the selected input source */
  409. },
  410. #endif
  411. #if CLOCK_SELECTORS_NO > 14U
  412. {
  413. FTM0_CLK, /* Clock name associated to selector */
  414. SIRCDIV1_CLK, /* Name of the selected input source */
  415. },
  416. #endif
  417. #if CLOCK_SELECTORS_NO > 15U
  418. {
  419. FTM1_CLK, /* Clock name associated to selector */
  420. SIRCDIV1_CLK, /* Name of the selected input source */
  421. },
  422. #endif
  423. #if CLOCK_SELECTORS_NO > 16U
  424. {
  425. FTM2_CLK, /* Clock name associated to selector */
  426. SIRCDIV1_CLK, /* Name of the selected input source */
  427. },
  428. #endif
  429. #if CLOCK_SELECTORS_NO > 17U
  430. {
  431. FTM3_CLK, /* Clock name associated to selector */
  432. SIRCDIV1_CLK, /* Name of the selected input source */
  433. },
  434. #endif
  435. #if CLOCK_SELECTORS_NO > 18U
  436. {
  437. FTM4_CLK, /* Clock name associated to selector */
  438. SIRCDIV1_CLK, /* Name of the selected input source */
  439. },
  440. #endif
  441. #if CLOCK_SELECTORS_NO > 19U
  442. {
  443. FTM5_CLK, /* Clock name associated to selector */
  444. SIRCDIV1_CLK, /* Name of the selected input source */
  445. },
  446. #endif
  447. #if CLOCK_SELECTORS_NO > 20U
  448. {
  449. ADC1_CLK, /* Clock name associated to selector */
  450. SIRCDIV2_CLK, /* Name of the selected input source */
  451. },
  452. #endif
  453. #if CLOCK_SELECTORS_NO > 21U
  454. {
  455. LPSPI0_CLK, /* Clock name associated to selector */
  456. SIRCDIV2_CLK, /* Name of the selected input source */
  457. },
  458. #endif
  459. #if CLOCK_SELECTORS_NO > 22U
  460. {
  461. LPSPI1_CLK, /* Clock name associated to selector */
  462. SIRCDIV2_CLK, /* Name of the selected input source */
  463. },
  464. #endif
  465. #if CLOCK_SELECTORS_NO > 23U
  466. {
  467. LPSPI2_CLK, /* Clock name associated to selector */
  468. SIRCDIV2_CLK, /* Name of the selected input source */
  469. },
  470. #endif
  471. #if CLOCK_SELECTORS_NO > 24U
  472. {
  473. LPIT0_CLK, /* Clock name associated to selector */
  474. SIRCDIV2_CLK, /* Name of the selected input source */
  475. },
  476. #endif
  477. #if CLOCK_SELECTORS_NO > 25U
  478. {
  479. ADC0_CLK, /* Clock name associated to selector */
  480. SIRCDIV2_CLK, /* Name of the selected input source */
  481. },
  482. #endif
  483. #if CLOCK_SELECTORS_NO > 26U
  484. {
  485. FlexIO_CLK, /* Clock name associated to selector */
  486. SIRCDIV2_CLK, /* Name of the selected input source */
  487. },
  488. #endif
  489. #if CLOCK_SELECTORS_NO > 27U
  490. {
  491. LPI2C0_CLK, /* Clock name associated to selector */
  492. SIRCDIV2_CLK, /* Name of the selected input source */
  493. },
  494. #endif
  495. #if CLOCK_SELECTORS_NO > 28U
  496. {
  497. LPUART0_CLK, /* Clock name associated to selector */
  498. SIRCDIV2_CLK, /* Name of the selected input source */
  499. },
  500. #endif
  501. #if CLOCK_SELECTORS_NO > 29U
  502. {
  503. LPUART1_CLK, /* Clock name associated to selector */
  504. SIRCDIV2_CLK, /* Name of the selected input source */
  505. },
  506. #endif
  507. #if CLOCK_SELECTORS_NO > 30U
  508. {
  509. LPUART2_CLK, /* Clock name associated to selector */
  510. SIRCDIV2_CLK, /* Name of the selected input source */
  511. },
  512. #endif
  513. #if CLOCK_SELECTORS_NO > 31U
  514. {
  515. LPTMR0_CLK, /* Clock name associated to selector */
  516. SIRCDIV2_CLK, /* Name of the selected input source */
  517. },
  518. #endif
  519. },
  520. /* DIVIDER initialization. */
  521. {
  522. #if CLOCK_DIVIDERS_NO > 0U
  523. {
  524. SIRCDIV1_CLK, /* name */
  525. 1U, /* value */
  526. {
  527. 0U,
  528. }
  529. },
  530. #endif
  531. #if CLOCK_DIVIDERS_NO > 1U
  532. {
  533. SIRCDIV2_CLK, /* name */
  534. 1U, /* value */
  535. {
  536. 0U,
  537. }
  538. },
  539. #endif
  540. #if CLOCK_DIVIDERS_NO > 2U
  541. {
  542. FIRCDIV1_CLK, /* name */
  543. 1U, /* value */
  544. {
  545. 0U,
  546. }
  547. },
  548. #endif
  549. #if CLOCK_DIVIDERS_NO > 3U
  550. {
  551. FIRCDIV2_CLK, /* name */
  552. 1U, /* value */
  553. {
  554. 0U,
  555. }
  556. },
  557. #endif
  558. #if CLOCK_DIVIDERS_NO > 4U
  559. {
  560. SOSCDIV1_CLK, /* name */
  561. 1U, /* value */
  562. {
  563. 0U,
  564. }
  565. },
  566. #endif
  567. #if CLOCK_DIVIDERS_NO > 5U
  568. {
  569. SOSCDIV2_CLK, /* name */
  570. 1U, /* value */
  571. {
  572. 0U,
  573. }
  574. },
  575. #endif
  576. #if CLOCK_DIVIDERS_NO > 6U
  577. {
  578. SPLLDIV1_CLK, /* name */
  579. 2U, /* value */
  580. {
  581. 0U,
  582. }
  583. },
  584. #endif
  585. #if CLOCK_DIVIDERS_NO > 7U
  586. {
  587. SPLLDIV2_CLK, /* name */
  588. 4U, /* value */
  589. {
  590. 0U,
  591. }
  592. },
  593. #endif
  594. #if CLOCK_DIVIDERS_NO > 8U
  595. {
  596. CORE_RUN_CLK, /* name */
  597. 1U, /* value */
  598. {
  599. 0U,
  600. }
  601. },
  602. #endif
  603. #if CLOCK_DIVIDERS_NO > 9U
  604. {
  605. CORE_VLPR_CLK, /* name */
  606. 8U, /* value */
  607. {
  608. 0U,
  609. }
  610. },
  611. #endif
  612. #if CLOCK_DIVIDERS_NO > 10U
  613. {
  614. CORE_HSRUN_CLK, /* name */
  615. 1U, /* value */
  616. {
  617. 0U,
  618. }
  619. },
  620. #endif
  621. #if CLOCK_DIVIDERS_NO > 11U
  622. {
  623. BUS_RUN_CLK, /* name */
  624. 1U, /* value */
  625. {
  626. 0U,
  627. }
  628. },
  629. #endif
  630. #if CLOCK_DIVIDERS_NO > 12U
  631. {
  632. BUS_VLPR_CLK, /* name */
  633. 1U, /* value */
  634. {
  635. 0U,
  636. }
  637. },
  638. #endif
  639. #if CLOCK_DIVIDERS_NO > 13U
  640. {
  641. BUS_HSRUN_CLK, /* name */
  642. 1U, /* value */
  643. {
  644. 0U,
  645. }
  646. },
  647. #endif
  648. #if CLOCK_DIVIDERS_NO > 14U
  649. {
  650. SLOW_RUN_CLK, /* name */
  651. 2U, /* value */
  652. {
  653. 0U,
  654. }
  655. },
  656. #endif
  657. #if CLOCK_DIVIDERS_NO > 15U
  658. {
  659. SLOW_VLPR_CLK, /* name */
  660. 4U, /* value */
  661. {
  662. 0U,
  663. }
  664. },
  665. #endif
  666. #if CLOCK_DIVIDERS_NO > 16U
  667. {
  668. SLOW_HSRUN_CLK, /* name */
  669. 2U, /* value */
  670. {
  671. 0U,
  672. }
  673. },
  674. #endif
  675. #if CLOCK_DIVIDERS_NO > 17U
  676. {
  677. CLKOUT0_CLK, /* name */
  678. 1U, /* value */
  679. {
  680. 0U,
  681. }
  682. },
  683. #endif
  684. #if CLOCK_DIVIDERS_NO > 18U
  685. {
  686. LPTMR0_CLK, /* name */
  687. 1U, /* value */
  688. {
  689. 1U,
  690. }
  691. },
  692. #endif
  693. #if CLOCK_DIVIDERS_NO > 19U
  694. {
  695. TRACE_CLK, /* name */
  696. 1U, /* value */
  697. {
  698. 1U,
  699. }
  700. },
  701. #endif
  702. },
  703. /* DIVIDER TRIGGER Initialization. */
  704. {
  705. #if CLOCK_DIVIDER_TRIGGERS_NO > 0U
  706. {
  707. RESERVED_CLK, /* divider name */
  708. IMMEDIATE_DIVIDER_UPDATE, /* trigger value */
  709. RESERVED_CLK, /* input source name */
  710. },
  711. #endif
  712. },
  713. /* FRACTIONAL DIVIDER initialization. */
  714. {
  715. {
  716. RESERVED_CLK,
  717. 0U,
  718. {
  719. 0U,
  720. 0U,
  721. },
  722. },
  723. },
  724. /* EXTERNAL CLOCKS initialization. */
  725. {
  726. #if CLOCK_EXT_CLKS_NO > 0U
  727. {
  728. TCLK0_REF_CLK, /* name */
  729. 0U, /* value */
  730. },
  731. #endif
  732. #if CLOCK_EXT_CLKS_NO > 1U
  733. {
  734. TCLK1_REF_CLK, /* name */
  735. 0U, /* value */
  736. },
  737. #endif
  738. #if CLOCK_EXT_CLKS_NO > 2U
  739. {
  740. TCLK2_REF_CLK, /* name */
  741. 0U, /* value */
  742. },
  743. #endif
  744. #if CLOCK_EXT_CLKS_NO > 3U
  745. {
  746. RTC_CLKIN, /* name */
  747. 32768U, /* value */
  748. },
  749. #endif
  750. },
  751. /* CLOCK GATES initialization. */
  752. {
  753. #if CLOCK_GATES_NO > 0U
  754. {
  755. LPO_32K_CLK, /* name */
  756. 1U, /* enable */
  757. },
  758. #endif
  759. #if CLOCK_GATES_NO > 1U
  760. {
  761. LPO_1K_CLK, /* name */
  762. 1U, /* enable */
  763. },
  764. #endif
  765. #if CLOCK_GATES_NO > 2U
  766. {
  767. ADC0_CLK, /* name */
  768. 1U, /* enable */
  769. },
  770. #endif
  771. #if CLOCK_GATES_NO > 3U
  772. {
  773. ADC1_CLK, /* name */
  774. 1U, /* enable */
  775. },
  776. #endif
  777. #if CLOCK_GATES_NO > 4U
  778. {
  779. CLKOUT0_CLK, /* name */
  780. 1U, /* enable */
  781. },
  782. #endif
  783. #if CLOCK_GATES_NO > 5U
  784. {
  785. CMP0_CLK, /* name */
  786. 1U, /* enable */
  787. },
  788. #endif
  789. #if CLOCK_GATES_NO > 6U
  790. {
  791. CRC0_CLK, /* name */
  792. 1U, /* enable */
  793. },
  794. #endif
  795. #if CLOCK_GATES_NO > 7U
  796. {
  797. DMA0_CLK, /* name */
  798. 1U, /* enable */
  799. },
  800. #endif
  801. #if CLOCK_GATES_NO > 8U
  802. {
  803. DMAMUX0_CLK, /* name */
  804. 1U, /* enable */
  805. },
  806. #endif
  807. #if CLOCK_GATES_NO > 9U
  808. {
  809. EIM0_CLK, /* name */
  810. 1U, /* enable */
  811. },
  812. #endif
  813. #if CLOCK_GATES_NO > 10U
  814. {
  815. ERM0_CLK, /* name */
  816. 1U, /* enable */
  817. },
  818. #endif
  819. #if CLOCK_GATES_NO > 11U
  820. {
  821. EWM0_CLK, /* name */
  822. 1U, /* enable */
  823. },
  824. #endif
  825. #if CLOCK_GATES_NO > 12U
  826. {
  827. FLEXCAN0_CLK, /* name */
  828. 1U, /* enable */
  829. },
  830. #endif
  831. #if CLOCK_GATES_NO > 13U
  832. {
  833. FLEXCAN1_CLK, /* name */
  834. 1U, /* enable */
  835. },
  836. #endif
  837. #if CLOCK_GATES_NO > 14U
  838. {
  839. FLEXCAN2_CLK, /* name */
  840. 1U, /* enable */
  841. },
  842. #endif
  843. #if CLOCK_GATES_NO > 15U
  844. {
  845. FlexIO_CLK, /* name */
  846. 1U, /* enable */
  847. },
  848. #endif
  849. #if CLOCK_GATES_NO > 16U
  850. {
  851. FTFC_CLK, /* name */
  852. 1U, /* enable */
  853. },
  854. #endif
  855. #if CLOCK_GATES_NO > 17U
  856. {
  857. FTM0_CLK, /* name */
  858. 1U, /* enable */
  859. },
  860. #endif
  861. #if CLOCK_GATES_NO > 18U
  862. {
  863. FTM1_CLK, /* name */
  864. 1U, /* enable */
  865. },
  866. #endif
  867. #if CLOCK_GATES_NO > 19U
  868. {
  869. FTM2_CLK, /* name */
  870. 1U, /* enable */
  871. },
  872. #endif
  873. #if CLOCK_GATES_NO > 20U
  874. {
  875. FTM3_CLK, /* name */
  876. 1U, /* enable */
  877. },
  878. #endif
  879. #if CLOCK_GATES_NO > 21U
  880. {
  881. FTM4_CLK, /* name */
  882. 1U, /* enable */
  883. },
  884. #endif
  885. #if CLOCK_GATES_NO > 22U
  886. {
  887. FTM5_CLK, /* name */
  888. 1U, /* enable */
  889. },
  890. #endif
  891. #if CLOCK_GATES_NO > 23U
  892. {
  893. LPI2C0_CLK, /* name */
  894. 1U, /* enable */
  895. },
  896. #endif
  897. #if CLOCK_GATES_NO > 24U
  898. {
  899. LPIT0_CLK, /* name */
  900. 1U, /* enable */
  901. },
  902. #endif
  903. #if CLOCK_GATES_NO > 25U
  904. {
  905. LPSPI0_CLK, /* name */
  906. 1U, /* enable */
  907. },
  908. #endif
  909. #if CLOCK_GATES_NO > 26U
  910. {
  911. LPSPI1_CLK, /* name */
  912. 1U, /* enable */
  913. },
  914. #endif
  915. #if CLOCK_GATES_NO > 27U
  916. {
  917. LPSPI2_CLK, /* name */
  918. 1U, /* enable */
  919. },
  920. #endif
  921. #if CLOCK_GATES_NO > 28U
  922. {
  923. LPTMR0_CLK, /* name */
  924. 1U, /* enable */
  925. },
  926. #endif
  927. #if CLOCK_GATES_NO > 29U
  928. {
  929. LPUART0_CLK, /* name */
  930. 1U, /* enable */
  931. },
  932. #endif
  933. #if CLOCK_GATES_NO > 30U
  934. {
  935. LPUART1_CLK, /* name */
  936. 1U, /* enable */
  937. },
  938. #endif
  939. #if CLOCK_GATES_NO > 31U
  940. {
  941. LPUART2_CLK, /* name */
  942. 1U, /* enable */
  943. },
  944. #endif
  945. #if CLOCK_GATES_NO > 32U
  946. {
  947. MPU0_CLK, /* name */
  948. 1U, /* enable */
  949. },
  950. #endif
  951. #if CLOCK_GATES_NO > 33U
  952. {
  953. MSCM0_CLK, /* name */
  954. 1U, /* enable */
  955. },
  956. #endif
  957. #if CLOCK_GATES_NO > 34U
  958. {
  959. PDB0_CLK, /* name */
  960. 1U, /* enable */
  961. },
  962. #endif
  963. #if CLOCK_GATES_NO > 35U
  964. {
  965. PDB1_CLK, /* name */
  966. 1U, /* enable */
  967. },
  968. #endif
  969. #if CLOCK_GATES_NO > 36U
  970. {
  971. PORTA_CLK, /* name */
  972. 1U, /* enable */
  973. },
  974. #endif
  975. #if CLOCK_GATES_NO > 37U
  976. {
  977. PORTB_CLK, /* name */
  978. 1U, /* enable */
  979. },
  980. #endif
  981. #if CLOCK_GATES_NO > 38U
  982. {
  983. PORTC_CLK, /* name */
  984. 1U, /* enable */
  985. },
  986. #endif
  987. #if CLOCK_GATES_NO > 39U
  988. {
  989. PORTD_CLK, /* name */
  990. 1U, /* enable */
  991. },
  992. #endif
  993. #if CLOCK_GATES_NO > 40U
  994. {
  995. PORTE_CLK, /* name */
  996. 1U, /* enable */
  997. },
  998. #endif
  999. #if CLOCK_GATES_NO > 41U
  1000. {
  1001. RTC0_CLK, /* name */
  1002. 1U, /* enable */
  1003. },
  1004. #endif
  1005. },
  1006. /* Progressive clock switching */
  1007. {
  1008. {
  1009. RESERVED_CLK,
  1010. 0,
  1011. 0,
  1012. RESERVED_CLK,
  1013. 0,
  1014. },
  1015. },
  1016. /* Clock monitor */
  1017. {
  1018. {
  1019. RESERVED_CLK,
  1020. 0U,
  1021. 0U,
  1022. 0U,
  1023. },
  1024. },
  1025. /* Specific peripheral initialization. */
  1026. {
  1027. 0U,
  1028. {
  1029. {
  1030. RESERVED_VALUE,
  1031. 0U,
  1032. },
  1033. },
  1034. },
  1035. /* Configured frequency values. */
  1036. {
  1037. {
  1038. RESERVED_CLK,
  1039. 0U,
  1040. },
  1041. },
  1042. },
  1043. };
  1044. #define MCU_STOP_SEC_CONFIG_DATA_UNSPECIFIED
  1045. #include "Mcu_MemMap.h"
  1046. /*==================================================================================================
  1047. LOCAL FUNCTION PROTOTYPES
  1048. ==================================================================================================*/
  1049. /*==================================================================================================
  1050. LOCAL FUNCTIONS
  1051. ==================================================================================================*/
  1052. /*==================================================================================================
  1053. GLOBAL FUNCTIONS
  1054. ==================================================================================================*/
  1055. #ifdef __cplusplus
  1056. }
  1057. #endif
  1058. /** @} */